sigasi-studio

    0熱度

    2回答

    目前,我嘗試開發自己的VHDL技巧,因此我使用Sigasi插件來編寫一些VHDL代碼。 Sigasi是一個偉大的工具,但有一件事情,這是困擾着我,雖然。不斷地,Sigasi在流程定義中拋出關於不完整敏感列表的警告,這從我的觀點來看是不合理的。一個例子是具有相應體系結構的以下實體。這是一個環移位的描述寄存器 library ieee; use ieee.std_logic_1164.all; u

    0熱度

    1回答

    我試圖在日食上使用帶有教育許可證的Sigasi插件來編程非常基本的VHDL - 目標是,我可以編程一個簡單的實體和一個測試臺爲此,然後在Xilinx的ISim中進行編譯和仿真。 我已經安裝了Eclipse,Sigasi &賽靈思ISE的WebPack,並試圖添加ISIM工具鏈在Sigasi網站here 看起來還好Eclipse作爲顯示,但它說我需要「激活」工具鏈(如下圖所示) 但是,當我按照「點擊

    -1熱度

    1回答

    所以,我創建了VHDL中組件的分層設計。目前的頂級實體如下。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation and returns the same numbers wit