2015-12-23 78 views
-4

請任何人都可以幫助我將以下Verilog轉換爲vhdl。謝謝。將這些模塊從verilog轉換爲VHDL

module adder(a,b,out); //adder 

input [31:0] a,b; //inputs 
output [31:0] out; //outputs 
reg [31:0] out; 
always @(a or b) begin 
    out = a+b; 
end 
endmodule 

回答

1
LIBRARY ieee; 
USE ieee.std_logic_1164.all; 
use ieee.numeric_std.all; 

entity adder is 
    PORT ( 
    a : in std_logic_vector(31 downto 0); 
    b : in std_logic_vector(31 downto 0); 
    regout : out std_logic_vector (31 downto 0) 
); 
end adder; 

ARCHITECTURE adder_arch of adder is 
begin 

regout <= std_logic_vector(unsigned(a) + unsigned(b)); 

end adder_arch; 
+0

@Paebbels固定。 thnx爲您的評論。什麼時候「堆」成爲一個複製品項目?人們可能想要閱讀和思考自己)))) – Vanechka

+0

@Paebbels反正它不是一個很好的理由downvote新手試圖回答) – Vanechka

+0

對不起,我犯了一個錯誤,並與我的OR混淆你。 verilog代碼是一個加法器...作爲一種appology,我修復了代碼。 – Paebbels