lfsr

    -1熱度

    1回答

    我有LFSR並得到錯誤的結果代碼,第8位應該是01110010,但我發現0101111001. 我談論伽羅瓦LSFR:en.wikipedia。 org/wiki/Linear-feedback_shift_register 任何人都可以看到這個代碼有什麼問題嗎? def lfsr(seed, taps): for i in range(10): nxt = sum([ se

    1熱度

    1回答

    我試圖實現與參數化寬度和係數的線性反饋移位寄存器的加權和: // ... parameter width = 16; parameter [width-1:0] coeff = 16'b1110101100110110; // ... 有一種方法來分配異或鏈到輸入觸發器,即什麼是一種明智的方式實施類似 assign input_wire = (coeff[0] & flo

    3熱度

    5回答

    我想將這段代碼從C端口移植到python。即使它是相同的代碼,輸出也是不同的。 這是工作的代碼C版: int main(void) { uint8_t pac[] = {0x033,0x55,0x22,0x65,0x76}; uint8_t len = 5; uint8_t chan = 0x64; btLeWhiten(pac, len, chan); for(int

    2熱度

    1回答

    我試圖用這個死硬的回購測試隨機性數字流(https://github.com/reubenhwk/diehard)。它給這些細節爲它讀取文件類型: 然後命令 死忠 將提示輸入文件名進行測試。 該文件必須是一個格式=「未格式化」,訪問=「直接」二進制 文件從10到1200萬字節。 這些是特定於fortran的文件約定,不是?問題是,我正在使用一個lfsr生成器來生成我的隨機二進制流,這是在C中。我嘗

    0熱度

    1回答

    我是VHDL的新手,但有一些想法。我做了這個LFSR,但不知道爲什麼它卡在初始種子值和另一個異或值之間。 我正在使用Altera Quartus 16 Lite和ISim。 library ieee; use ieee.std_logic_1164.all; --creating a galois LFSR entity LFSR is port ( clk : in