2015-06-25 56 views
0

我的人有這樣的代碼。系統verilog中的modport ref聲明

在接口文件中,聲明爲事件call_back_array,事件xxxx,事件yyyyyy。

Interface xxx 
event call_back_array, 
event xxxx, 
event yyyyyy, 
... 

modport cb_bus (ref call_back_array, 
       ref xxx, 
       ref yyyy, 
.... 

我不明白這是什麼意思關於參考。

回答

2

SystemVerilog接口有modports用於聲明信號的方向。

如果您剛剛聲明的變量/在接口信號和沒有 modport聲明,那麼所有的變量/信號作爲裁判參數默認。

對於modport,這些信號連接到不同模塊的輸入,輸出或輸入端口。

inout端口將被視爲參考參數。

參考LRM 1800-2012更多細節