0
我正在嘗試使用VHDL設計一個交通燈控制器,我正在用Altera EPM240T100C5編程,並使用自定義擴展板來顯示交通信號燈。最慢的時鐘電路板上設置仍然較快,比我想,我需要寫一個我一樣這麼一個時鐘分頻器:VHDL時鐘分頻器在板上工作但仿真失敗
LIBRARY ieee;
USE ieee.std_logic_1164.all;
entity clockdivider is
port
(
clkin : in std_logic;
dividedclk : out std_logic
);
end clockdivider;
architecture divider of clockdivider is
signal J : std_logic;
signal K : std_logic;
begin
J <= '1';
K <= '1';
process(clkin)
variable tempdividedclk : std_logic;
begin
if (rising_edge(clkin)) then
tempdividedclk := (NOT(tempdividedclk) AND J) OR (tempdividedclk AND (NOT(K)));
end if;
dividedclk <= '0';
dividedclk <= tempdividedclk;
end process;
END divider;
這將運行在板上,但在模擬器(精細的ModelSim )「dividedclk」輸出無法初始化任何東西。我想知道是否有人知道爲什麼?
在此過程中,可以爲tempdivideclk的變量聲明提供默認值'0'或'1'。 'variable tempdividedclk:std_logic:='0';' – user1155120