clock

    0熱度

    1回答

    我是VHDL中的新手,我需要在VHDL程序中做一個2Hz或0.5Hz的暫停,用於計數器項目。 在另一方面,我有以下代碼: architecture behavior of Counter is signal q: std_logic_vector(7 downto 0); begin process(clock, choose) begin if clear = '1

    0熱度

    2回答

    我正在編寫用於在FPGA上實現的UART的Verilog代碼,並且在第一個字節之後同步到字節的START位時遇到了一些問題。 我的經理建議同步我接收到的信號,並使用某種中斷作爲與我的FSM通信的一個意思,即啓動已被識別。 我已閱讀有關上升沿檢測技術,我覺得我可以做這樣的事情: module StartDetectionUnit ( input clk, state, signal_in,

    0熱度

    2回答

    我生成以下代碼: module clk_gen(); reg clk, clk1 , clk2, clk3 , clk4 ; always fork #5 clk = ~clk ; #1 clk1 = ~clk1 ; #3 clk2 = ~clk2 ; #4 clk3 = ~clk3 ; #3 clk4 = ~cl

    0熱度

    2回答

    我想在我的Angular 4.3應用程序之一上運行一個時鐘(數字)。 我嘗試了很多R & D,但沒有成功。 也沒有角度滴答時鐘的參考。 所以我試圖添加基於java腳本的解決方案,但它也無法正常工作。 見下面的代碼。 startTime() { var today = new Date(); var h = today.getHours(); var m = toda

    1熱度

    1回答

    所以我試圖通過構建一個谷歌插件教自己的JavaScript。這個想法是,每個間隔的顏色變化(每5秒秒手,每5分鐘分鐘等),但我有麻煩。我已經構建了時鐘,除了一些文體變化之外,我認爲這只是離開這個討厭的JavaScript。所以我的第一個想法是計算時鐘的角度,併爲每種顏色創建「區域」(當秒針在12-1或30度之間時爲紅色,然後在30度後變爲綠色,然後變爲藍色等等)。所以這是我的所有html和css

    0熱度

    1回答

    我一直在閱讀關於這一點,並且數字沒有加起來。在我的操作系統(Windows),我可以檢查系統時鐘的分辨率是這樣的: LARGE_INTEGER largeInt; // Basically a struct/union containing a long long QueryPerformanceFrequency(&largeInt); // largeInt now holds the f

    -1熱度

    1回答

    你好我學會了如何獲得新的日期,時間和東西,今天我做了一個簡單的時鐘 這很好,但我需要重新加載頁面以獲取當前時間,所以我如何使它更現實,我的意思是顯示它,因爲它的票,會好很多 $(function() { var d = new Date(), currentDay = d.getDate(), hour = d.getHours(), min

    1熱度

    1回答

    我剛開始學習從官方網站反應js和有這一步在本教程中,爲了使工作時鐘,你把一個函數成爲一個班級。我的問題是,既然可以用更簡單的方式完成,爲什麼有人會那樣做呢?

    1熱度

    1回答

    我有一個問題,我無法解決。 當我運行下面的代碼我得到這個錯誤 AttributeError: 'float' object has no attribute 'root' 一切正常,直到我的廣告Clock.schedule 代碼: from kivy.app import App from kivy.uix.floatlayout import FloatLayout from kivy.

    1熱度

    1回答

    我已經創建了一個設計並希望編譯設計以便爲CPLD創建二進制文件。但是,當我嘗試編譯設計時,它會輸出一條警告,說明未滿足時序要求。現在看來似乎抱怨以下VHDL部件,其中所述外部時鐘被劃分成在該設計中使用由其它VHDL組分的較低的時鐘頻率: entity clk_divider is generic (COUNTER_MAX : integer := 256000); port(