0
A
回答
0
1
這裏是一個實體,你想要做什麼(如果我理解正確的問題):
library ieee;
use ieee.numeric_std.all;
use ieee.float_pkg.all;
entity integer_by_float_division is
port (
numerator: in signed(15 downto 0);
denominator: in signed(15 downto 0);
result: out float(6 downto -9)
);
end;
architecture rtl of integer_by_float_division is
subtype float16 is float(6 downto -9);
signal numerator_float: float16;
signal denominator_float: float16;
begin
numerator_float <= to_float(numerator, numerator_float);
denominator_float <= to_float(denominator, denominator_float);
result <= numerator_float/denominator_float;
end;
+0
我認爲當他們說「float」時,OP意味着「IEEE754單精度」,所以分母和out可能需要'float32' –
相關問題
- 1. VHDL中的分區
- 2. vhdl中pipeline和rising_edge的區別?
- 3. VHDL分子,分子= 1
- 4. VHDL範圍分配
- 5. LU分解在VHDL
- 6. VHDL中的泊松分佈生成
- 7. VHDL中的BRAM_INIT
- 8. VHDL分析問題與GHDL
- 9. VHDL分頻器,校正
- 10. 無法在VHDL中拆分向量
- 11. 在VHDL中創建一個分頻器
- 12. 這2個vhdl碼有什麼區別
- 13. 用於數據緩衝區的VHDL中的3D數組
- 14. 在Clojure中分區分區?
- 15. 將表中的多個分區分區
- 16. VHDL中的可合成延遲緩衝區
- 17. 使用別名的VHDL聚合分配
- 18. vhdl
- 19. vhdl
- 20. vhdl
- 21. javascript中的分區
- 22. prolog中的分區
- 23. verilog中的分區
- 24. XSLT中的分區
- 25. 配置單元中的分區和分區有什麼區別?
- 26. 串聯VHDL中的位
- 27. VHDL中的捆綁語句
- 28. VHDL中的條件語句
- 29. VHDL中的多維數組
- 30. VHDL中的通用包
你需要做的劃分在一個週期內,或完成每個週期劃分的?許多浮點分隔器都是流水線的,所以它們可以在每個週期產生一個結果,而不會使週期時間足夠長,以便在一個週期內進行分割。 –
你的時鐘週期有多長? –