cocotb

    1熱度

    1回答

    我試圖將Cocotb設置爲我的驗證環境。 我一直在尋找有用的例子,但我不知道我應該走的方向。 我的塊可以被看作是:在輸入 -4總線 -1時鐘和復位1作爲輸入 -2總線在輸出 輸出是一個組合和時序處理的結果的輸入信號。 我沒有去更進一步的部分是如何定義正確監視我的輸入和輸出總線,這是(據我所知)不符合AvalonST 我看了Cocotb提供的例子,以及什麼阻止我得到啓發的是,無論是提供: - 具備沒

    2熱度

    1回答

    我已經成功地爲我的設計設置了Cocotb驗證環境,並且我很高興它可以用於RTL(在我的情況下爲VHDL)。 我的設計是使用泛型,和我檢索這些仿製藥在Python代碼(大多在RUN_TEST和模型)的幾個地方的值,模板如下: my_generic = dut.GEN_NAME.value 不幸的是,這不適用於門級仿真,因爲我的綜合設計不再具有泛型,因此dut.GEN_NAME.value不存在。 我

    2熱度

    1回答

    我的verilog代碼是一個加法器,只是使用assign sum = a+b。問題是,雖然使用cocotb運行它,但sum仍然未知,但a和b具有有效值。當我使sum成爲reg類型時,它可以工作。 `timescale 1 ns/1 ps module adder(input [7:0] a, input [7:0] b, output reg [7:0] sum,