flip-flop

    0熱度

    1回答

    如何在ISim 12.3上模擬此vhdl代碼?我知道它的工作原理,因爲我下載到FPGA,但我看不到一個好的模擬。 非常感謝,如果它太基本了,但我對此很新。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.packageFlipFlop.all; use work.packageUtilities.all; entity contad

    2熱度

    3回答

    我有一個名爲@mytitles的數組,其中包含很多標題,如title1,title2等等。我有一個名爲「Superdataset」的文件,其中包含有關每個標題的信息。但是,有關title1的信息可能是6行,而title2的信息可能是30行(其隨機)。每條信息(對於titlex)以「Reading titlex」開始並以「Done reading titlex」結尾。 從每個標題的這些信息行中,我需

    1熱度

    3回答

    我使用D觸發器模擬4位環形計數器。 D觸發器在單獨的文件中,包含在我的工作區中。 D觸發器工作正常(給出正確的輸出波形)。 這是環形計數器的代碼: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ring4counter is port ( clk: s

    1熱度

    2回答

    我知道這不是一個真正的編程問題,但它肯定與計算機相關,有人可能會知道這一點。 你如何構建一個帶D觸發器和其他邏輯門的SR觸發器?我知道最終你想要的是連接到D觸發器的D輸入的邏輯門(用S和R作爲輸入),但我不太清楚如何找出哪個邏輯門。 謝謝!

    19熱度

    3回答

    我想我明白一個觸發器是如何工作的,這要歸功於一個教程,但其中的例子僅供教學之用。任何人都可以舉例說明你是如何使用或使用觸發器的? 我正在尋找一個真實世界的應用程序,而不僅僅是另一個演示。這個工具可以解決什麼問題? 該鏈接曾經是http://vision-media.ca/resources/ruby/ruby-flip-flop-or-range-operators,但這一天似乎是垃圾郵件。

    0熱度

    3回答

    我遇到以下問題,無法確定答案。你有什麼建議,任何幫助將不勝感激。對於所有整數n> = 1,F(2)= 1和Fn = F(n-2)+ F(n-1)定義斐波那契數列F(n) 3.設計一個計數器電路需要的最少數量的D觸發器(以及組合邏輯)來設計一個輸出前七個斐波納契數(即F1到F7)的計數器電路,然後環繞它? (A)3(B)4(C)5(d)6(E)7 預先感謝

    6熱度

    3回答

    紅寶石(和Per​​l)具有觸發器的概念: file = File.open("ordinal") while file.gets print if ($_ =~ /third/) .. ($_ =~ /fifth/) end 其中給予序的列表,如 first second third fourth fifth sixth ,當它達到了「第三」將開始打印和停止時它達