ghdl

    0熱度

    1回答

    我想用我自己的包ghdl。有人可以幫助我完成結構和編輯。目前我的代碼看起來是這樣的: 在./my_package/my_package.vhd library IEEE; use IEEE.std_logic_1164.all; package my_package is constant my_constant : std_logic_vector(3 downto 0) :=

    -1熱度

    1回答

    所以,我創建了VHDL中組件的分層設計。目前的頂級實體如下。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation and returns the same numbers wit

    0熱度

    1回答

    我難以理解這個代碼的影響: 我的組件: library IEEE; use IEEE.std_logic_1164.all; entity problem is port( clk : in std_logic; a : in std_logic); end problem; architecture impl of problem is sign

    0熱度

    1回答

    使用GHDL新增。這是我的VHDL代碼: /* Name: test.vhd Description: Demonstrates basic design in VHDL code. Date: 04. August 2017 AD */ --first part: libraries library IEEE; use IEEE.STD_LOGIC_1164.all; --s

    0熱度

    1回答

    我正在嘗試使用ufixed數據類型並將2個固定值加在一起,我已經計算出我應該有足夠的位來存儲結果並且輸出應該能夠被存儲在信號中,但是當我嘗試執行它時,我得到了一個綁定檢查失敗。有人能告訴我爲什麼我得到這個? 代碼的重要部分是: -- definition of parameters used in the failing calculation input : in ufixed(0 down

    0熱度

    1回答

    我想編譯使用ghdl編譯器的vhdl代碼。不過我缺席兩場UTIL庫: util.misc_conv_pkg和 util.vstring。 因此該代碼是不工作 LIBRARY util; USE util.misc_conv_pkg.ALL; USE util.vstring.ALL; 我已經嘗試了所有可能的安裝方法,我發現: http://ghdl.free.fr/site

    2熱度

    1回答

    我是新來的ghdl模擬器,並在windows上使用ghdl。我在我的電腦上安裝了ghdl(ghdl-0.33-win32),並試用了簡單的加法器代碼,它工作正常。我目前需要模擬一些使用David Bishop的固定和浮點軟件包的數學方程。當我包括圖書館我和編譯提示錯誤: "fixed_pkg" not found in library "ieee" ,或者如果我複製fixed_pkg_c文件到我的

    -1熱度

    1回答

    在vhdl中返回無約束向量的最佳方式是什麼? function func(selector : natural) return std_logic_vector is begin case selector is when 3 => return std_logic_vector("11"); when 4 => return std_logic_vector(

    0熱度

    3回答

    當測試簡單的計數器實現時,GHDL仿真不會退出仿真。我的意圖是使用由主進程更改的共享變量來停止兩個併發進程。但主要過程並未停止時鐘進程。 我的計數器實現: entity dff is port( direction, reset, clk, load : in std_logic; din : in std_logic_vector(3 downto 0); dout

    0熱度

    2回答

    我總是發送出去的vhdl實體的輸出有問題U。 我看了各種論壇,但我找不到解決方案。 該項目是一個5層的電梯,必須等待5秒關閉門,10秒鐘才能到達下一層目標。 使用Logisim(v 2.13.22)和ghdl(v 0.29.1)。 有沒有人有任何想法可能是什麼問題?在此先感謝 這裏的VHDL代碼我做了。 library ieee; use ieee.std_logic_1164.all