我在想這個錯誤是GHDL不支持VHDL 2008的結果。錯誤發生在第27/28行,當ff0 D被分配了矢量din的值。從端口映射中索引矢量的正確方法是什麼? 我創建了count_temp嘗試繞過錯誤,但它沒有幫助,我寧願沒有額外的變量。謝謝。 library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
我想運行一個代碼,我已經在網上找到,但它不知何故測試臺無法在GHDL上運行預期的輸出。 結構代碼 庫IEEE; 使用IEEE.STD_LOGIC_1164.ALL; entity clk200Hz is
Port (
clk_in : in STD_LOGIC;
reset : in STD_LOGIC;
clk_out: out STD_LOGIC
我正在嘗試使用32位地址對字節通道寫入使能進行編碼。但是,當我嘗試訪問(讀取或寫入)大於x1F的地址時,使用GHDL編譯時會出現「浮點異常8」。下面是一些代碼片段: entity data_mem is
port(addr : in std_logic_vector(31 downto 0);
enable : in std_logic;
rd : in std_logic
當在VHDL中測試一個簡單的生命實現遊戲時,在打印出「測試結束」消息後,空的測試臺的GHDL模擬會以100%的CPU使用率掛起。 下面是代碼: ----- Package ------------------------------
library ieee;
use ieee.std_logic_1164.all;
package data_types is
type arra