hardware-design

    2熱度

    1回答

    我想知道芯片中不同類型的復位和定義。優選冷,暖,系統,應用程序,通電覆位。 另外一個簡單的例子,他們每個人都高度讚賞。 謝謝。

    1熱度

    1回答

    我的最終目標是在Altera DE2 FPGA上進行人臉檢測和通用圖像處理應用。我使用Catapult C來編程FPGA(所以我使用的是C代碼,而不是Verilog或VHDL)。 我的問題是如果Catapult C支持openCV庫,以及有關如何安裝庫以便它們在Catapult內運行的任何提示/鏈接。有什麼特別的考慮嗎?搜索谷歌和stackoverflow,但沒有運氣。 謝謝。

    1熱度

    1回答

    我試圖實現一個比普通RCA更快的加法器。因此我使用了XILINX庫,並找到了一個名爲adsu8的簡單加法器。我想將它嵌入到我最近的VHDL代碼中。但是因此我必須堅持數據類型BIT和BIT_VECTOR。現在每當我綜合出現這樣的一堆警告: :Xst:2036 - 在黑匣子驅動的端口上插入OBUF。可能的模擬失配。 library IEEE; use IEEE.STD_LOGIC_1164.ALL;

    -1熱度

    1回答

    我需要一種方法來計算固定點數B包含到固定點數A中的次數。類似於整數除法,但是是非整數操作數。 我需要爲此操作設計一個硬件塊。 我的第一個猜測是當我到達小數部分時使用除法作爲移位並減去並停止,但也許你知道更好的方法來找到它。

    -1熱度

    1回答

    所以,我創建了VHDL中組件的分層設計。目前的頂級實體如下。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation and returns the same numbers wit

    2熱度

    1回答

    我想使用always塊中另一個模塊的輸出。 目前,以使此代碼工作的唯一途徑是通過增加#1的 pi_in分配後,使有足夠的時間已經過去了,讓曹丕完成。 有關部分從模塊pLayer.v: Pi pi(pi_in,pi_out); always @(*) begin for(i=0; i<constants.nSBox; i++) begin for(j=0; j<8; j

    7熱度

    8回答

    我期待着開始簡單的硬件開發,並希望有足夠的進展來學習逆向工程。 我想從一個微控制器開始,並且有幾個想法,比如Mbed和Arduino。我應該怎麼辦? 我想也許mbed,因爲它具有USB和以太網功能,其他幾個,但我真的不知道什麼Arduino。會因爲更便宜的巴士而工作嗎? 我真的不想在基本的程序;我目前的視差控制器是一個痛苦!

    6熱度

    3回答

    我想要一個VHDL源代碼網站,它提供了一個可隨時使用的組件源代碼。 例如:全加器vhdl源代碼。