waveform

    0熱度

    1回答

    作爲較大項目的一部分,我想更改波長長度模式中的某些值,而不是+1線性模式。 要清楚,我不想試圖在圖表或任何類型的圖上繪製這些圖像......我想使用這些值來通過我的Raspberry Pi控制某些顏色強度。 總之,回到手頭的問題... 我有以下python腳本: #!/usr/bin/python from math import * Fs=8000 f=500 i=0 while i<

    1熱度

    2回答

    我正在進行個人研究項目。 我的目標是能夠識別聲音,並通過將其波形與我的數據庫中的波形進行比較來確定它是否屬於IPA。我有Mathematica,SciPy和PyBrain的一些技巧。 對於第一個階段,我只使用英語(美國)拼音字母表。 我有一個簡單的英語拼音字母聲音文件庫,我在網上找到。這裏的訣竅是: 我想將一個聲音文件分隔成對應於不同音節的波形 - 這需要一個學習算法。所以,'我喜歡蘋果'會被分割

    1熱度

    1回答

    Im使用此https://github.com/bbcrd/audiowaveform庫。 安裝是否正確(使用建立的git方法不恰當) 當我嘗試運行audiowaveform -i test.mp3 -o test.dat -z 256 -b 8在終端它工作正常。 當我嘗試使用EXEC $cmd = "audiowaveform -i /var/www/html/test.mp3 -o /var/

    0熱度

    1回答

    我想繪製-圖給出的相位常數使用MATLAB,但雖然我看了很多網頁,但在MATLAB中沒有類似的繪圖示例圖。你能否澄清一下如何通過舉例說明這個問題?任何幫助真的會被讚賞。 劇情範圍: =10ℎ-10 瓦特:角頻率 WC:恆定角頻率 參數第一: 1 = 0.2 *, 2 = 0.4 *,3 = 0.6 *,4 = 0.8 *,ɛ1= 1 *ɛ0,μ=μ0 個參數第一: A1 =0.08636釐米,A2

    0熱度

    1回答

    我有一個數組,如var amplitude = [4,2.5,3,2,1,-0.5,2]。我想繪製這個數據作爲波形 ,然後用音頻播放器中播放此波形 PS:這是我很難理解......我想這個數組轉換爲一個音樂波形在音頻播放器中播放。我是新來的,所以不知道從哪裏開始

    5熱度

    1回答

    我已經使用我的代碼生成了如下圖所示的簡單波形。 但我想給每一行,我希望它像的SoundCloud波像下面的承受力之間的多種間隙。 這裏是我的代碼: public class VisualizerView extends View { private static final int LINE_WIDTH = 15; // width of visualizer lines pr

    -2熱度

    1回答

    我在尋找的東西產生一個「常數」音頻波形,它看起來像這樣:A constant waveform 我有一組模擬DATAS的: 12766:149 12786:0 13339:149 13359:0 13721:57 13741:0 15249:255 15269:0 15822:87 凡格式爲time_in_ms:amplitue。我嘗試從耳機插孔輸出它們。 我認爲上面顯示的「恆

    0熱度

    2回答

    我想從.wav音頻文件繪製波形圖。我在這個網站發現,提取一個.wav的字節的函數: ByteArrayOutputStream out = new ByteArrayOutputStream(); BufferedInputStream in = null; try { in = new BufferedInputStream(new FileInputStream(args[0])

    0熱度

    2回答

    在您的systemverilog模擬器(Ius/Cadence,Questa/Mentor或VCS/Synopsys)中,您通常需要查看波形中的systemverilog類變量。 有沒有辦法做到這一點?

    0熱度

    1回答

    我用VHDL編寫代碼,並使用Active HDL Student版本使用測試臺編譯和模擬代碼。當我模擬500ns時,信號會改變,但是波形上的信號會粘在U上而沒有任何顯示。我似乎無法找到導致此問題的原因。 這是我對實體代碼: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity idexreg