我正在尋找我的問題的原因或答案是: 我的VHDL代碼正在工作,我試圖創建一個分頻器的10個。 The問題是模擬報告不斷給我一個未定義的輸出(沒有值)。不能爲我的VHDL代碼模擬
這是我的VHDL代碼,我會很感激任何幫助!謝謝!
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Frequency_divider is
port(clk: in std_logic;
Q:out std_logic);
end Frequency_divider;
architecture desc_freq_divider_10 of Frequency_divider is
signal S: std_logic:='0';
begin
process(clk,S)
variable cpt: integer:=0;
begin
if (rising_edge(clk)) then
cpt:=cpt+1;
end if;
if (cpt=5) then
S<=not(S);
cpt:=0;
end if;
end process;
Q<=S;
end desc_freq_divider_10;