我有一個3位向上/向下計數器的vhdl代碼,但是當我模擬它不給出任何輸出結果時,出了什麼問題?VHDL 3位u/d計數器
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity counter is
Port (rst,clk : in STD_LOGIC;
up: in bit;
z : out STD_LOGIC_vector(2 downto 0));
end counter;
architecture Behavioral of Counter is
signal zint: STD_LOGIC_vector(2 downto 0) ;
begin
z<= zint;
process (clk)
begin
if (clk' event and clk='1') then
if (rst ='1') then
zint <= "000" ;
end if;
if (zint <= "111")then zint <= "000";
elsif (up='1') then zint <= zint+1;
else zint <= zint-1;
end if;
end if;
end process;
end Behavioral;
歡迎堆棧溢出。通常最好給一個[MCVE](http://stackoverflow.com/help/mcve),讓其他人可以重現你的錯誤,但在這種情況下,我想我可以看到什麼是錯的。 –
請縮進您的代碼。 – Paebbels
請顯示您的測試臺。 _do沒有給出任何輸出結果_你是什麼意思? –