2016-01-17 276 views
-1

我需要初始化七個段顯示用途的一些常量,但我遇到了一些問題。每當我編譯VHDL常量在Quartus II中返回10500錯誤

constant displayR : type := b"01010000"; 

我得到

Error (10500): VHDL syntax error at VendingMachine.vhd(62) near text "constant"; expecting "end", or "(", or an identifier ("constant" is a reserved keyword), or a concurrent statement 

我需要什麼做的就是不斷的正確編譯?

+1

'type'是保留字,不能用作標識符。 – user1155120

+2

...但除此之外,由於解析器期望併發語句,聲明可能位於錯誤的位置。所以把它移到聲明區域。 –

+1

有四個地方適合併發報表。實體聲明語句部分(用於併發斷言語句或被動併發程序調用),體系結構體,塊語句和生成語句。每一個都有一個聲明區域。你是否在(begin)之後放置了這個聲明之前的聲明區域?您的問題的實際答案需要[最小,完整和可驗證示例](http://stackoverflow.com/help/mcve)。 – user1155120

回答

0

您可能要聲明一個亞型爲您七段顯示:

subtype t_display is bit_vector(7 downto 0); 
constant displayR : t_display := "01010000";