chisel

    2熱度

    2回答

    我補充說:「--backend」和「V」我chiselMainTest名單,雖然我得到Verilog輸出,我也越來越生成錯誤: In file included from ./vpi.cpp:1: ./vpi.h:4:10: fatal error: 'vpi_user.h' file not found #include "vpi_user.h" ^ 1 error genera

    2熱度

    1回答

    當我通過合成產生鑿一個Verilog的模塊,我有這種類型的警告(很多!): Warning (10036): Verilog HDL or VHDL warning at Polynomial.v(26): object "T98" assigned a value but never read 有沒有當我生成刪除這種類型的「無用」的信號選項verilog代碼? 我生成的Verilog與Sca

    1熱度

    1回答

    這裏是我最好的猜測,但它並不像所產生的Verilog行爲將導致一個簡單的透明合成鎖時: // DXP Latch val dxp = config(2) & config(0) val latch = Reg(lut.io.out) val out = Mux(dxp, latch, lut.io.out) 我很欣賞你這個想法。

    0熱度

    1回答

    我有我的鑿子代碼中的問題 ,我嘗試以下方法 deqReg := Cat((0 until ports).map(ownReg === Cat(io.configVal(portBits*(_) + 2),io.configVal(portBits*(_)+ 1), io.configVal(portBits*(_))))) 但在運行上面的代碼時,我收到以下錯誤 [error] /home/

    0熱度

    1回答

    我收到以下錯誤消息。但我無法從打印的錯誤消息中瞭解問題。 run [info] Running HyperCell.SwitchTopMain [info] [0.340] // COMPILING < (class HyperCell.SwitchTop)>(9) [error] switchTop.scala:28: := not defined on class Chisel.UInt

    0熱度

    1回答

    試圖建立ROCC加速器默認累加器例如,對於捷思板,但得到的「非法指令」錯誤建成ROCC加速器 我想在下面的配置configs.scala文件: - class WithAccumRocc extends Config( (pname,site,here) => pname match { case RoccNMemChannels => 1 case RoccMaxTaggedMemXacts

    1熱度

    1回答

    我正在一個叫做「bank」的相當通用的模塊中包裝一塊Mem,並在Vec中實例化它,如下所示: val rams = Vec.fill(100){Module(new bank).io} 到目前爲止這麼好。當我連接信號時遇到問題。如果我模塊的信號的矢量直接連接到信號的矢量,就像這樣: rams(i).in := io.ins(i) io.outs(i) := rams(i).out ...等等

    1熱度

    1回答

    我一直在嘗試修改火箭核心的rocc接口,並且我現在修改了rocc接口以用作暫存器,從那裏我們可以加載和存儲數據custom0指令。當我嘗試將數據推入並彈出一個堆棧內存時,我正面臨着一個問題,該內存是我在鑿子中創建的,並在我的暫存區中實例化的。我使用具有不同funct字段值的相同custom0指令推送並彈出到堆棧。 在鑿的代碼如下所示兩個暫存器和我的堆 class Comm_Scratchpad(n

    0熱度

    1回答

    我試圖在「真實世界」項目上使用鑿子,我正在用C++編寫測試臺代碼部分。 這很好,我可以用gtkwave在dump.vcd文件中看到我所有的轉儲信號。 但我有時間尺度的問題,在默認情況下,該功能模塊 - >轉儲()記錄與1ps的時間表信號: $timescale 1ps $end 你知道如何去改變它? 我發現改變它在測試平臺C上的唯一方式++代碼是關閉它後重新打開VCD和修改的第一行: #def

    1熱度

    1回答

    我正在設計一些可重構邏輯,它本質上可以被配置爲創建一個亞穩態組合電路。鑿子編輯我的電路沒關係,但在運行開始時停下來,發現了組合環路的潛力。 鑿子將此標記爲錯誤是非常好的和適當的!由於電路的組合屬性是故意的,因此我現在需要關閉該錯誤,以便我可以在一系列有效配置中測試電路的行爲。我怎樣才能做到這一點? 在此事先感謝您的幫助。