chisel

    1熱度

    1回答

    我正在開發一個scala項目,當我測試程序時需要打印一些調試信息。我在程序的中間放置了一些打印功能。但我只是想運行它們,如果我已經激活了一些調試標誌或類似的東西。 我找不到乾淨的方式去做我想做的事。我想知道是否有什麼我可以使用的? 只是爲了解釋更多關於我的項目,我正在使用鑿子庫生成硬件和建築物,我使用SBT。

    0熱度

    1回答

    全部 我是中國的鑿子新用戶,我發現鑿子是用sbt建立它的程序。 但是,在開始工作之前,sbt似乎需要下載很多東西。 有沒有人有任何想法加快這一點? 謝謝。

    1熱度

    1回答

    我最近開始在scala中工作,並且需要創建一個MD5的實現。這是我的理解,MD5需要無符號類型,該scala不附帶。由於我將很快開始使用無符號類型的鑿子,我決定實施它的庫。到目前爲止,一切似乎都很好,除非在執行下面的按位操作時,我的F值變爲-271733879,這會導致出現一個錯誤「由於:java.lang.IllegalArgumentException:要求失敗:UInt文本-27173387

    3熱度

    2回答

    所以,我有一個關於鑿碼轉換的理論問題。 我知道鑿子實際上是一組Scala的定義,所以它被編譯成Java字節碼,它依次運行在JVM中,就像魔法一樣,它吐出了Verilog的等價描述,甚至是舊版本的C++描述的鑿子。 問題是我無法弄清楚這個「魔法」是如何工作的。我的猜測是,從Chisel到Verilog/C++的代碼轉換全部基於Scala反射。但我不確定,因爲我找不到與此主題相關的任何內容。 那麼,這

    2熱度

    1回答

    這是使用ScalaCheck在簡單的組合電路上執行屬性檢查的chisel3測試。 package stackoverflow import org.scalatest.{ Matchers, FlatSpec, GivenWhenThen} import org.scalacheck.{ Properties, Gen, Arbitrary} import org.scalacheck.P

    3熱度

    1回答

    我想從存儲在scala變量中的spec中生成Module IO接口。 我想產生這樣的類定義: class AddIfc extends Module { val io = IO(new Bundle { val a = Input(UInt(8.W)) val b = Input(UInt(8.W)) val o = Output(UInt(8.W))

    3熱度

    1回答

    我想初始化內存bitmem時,首次初始化時將所有位設置爲1。我看過initsused ROM,我不知道是否有類似的方法來初始化Mem的值? val bitmem = Mem(Bits(width = conf.ways), (conf.cache_lines*conf.words_per_line)

    1熱度

    2回答

    它被定義爲UInt是無符號整數的類型。但在這種情況下,MSB似乎仍然是一個標誌。例如,最相關的質量保證是Chisel UInt negative value error,其中找出瞭解決方法,但沒有解決問題。你能否讓我瞭解'爲什麼'? 的UInt似乎chisel3/chiselFrontend/src目錄/主/斯卡拉/ chisel3 /核心/ Bits.scala,但我不明白的細節進行定義。 UI

    1熱度

    1回答

    我正在試圖在鑿子中找到一個等效的Verilog電源操作員**。我經歷了Chisel Cheat sheet和tutorial,但我沒有找到我要找的東西。在使用鑿子編寫的設計後,我發現log2xx功能是流行的選擇,而電源操作員從未使用過。當然,我總是可以使用移位算子來獲得2的權力,但我希望鑿子中有一般的電力運營商。我試圖使用scala的數學函數來完成這項工作,但是我得到了編譯錯誤。

    3熱度

    1回答

    我在我的項目中使用了Chisel3。我試圖導入硬浮動庫作爲便接踵而來: import chisel3._ import hardfloat._ 但不幸的是,我得到: [warn] :::::::::::::::::::::::::::::::::::::::::::::: [warn] :: UNRESOLVED DEPENDENCIES :: [warn] ::::::::::