modelsim

    1熱度

    2回答

    我正在創建一個新的項目,我稱之爲alpha,然後創建一個新文件test.vhd。 library ieee; use ieee.std_logic_1164.all; entity d_latch is port( data_in:in std_logic; data_out:out std_logic; enable:in std_logic); end d_latch; ar

    0熱度

    1回答

    我不明白爲什麼我的編譯器抱怨OUT的所有賦值語句。這裏是我的代碼: `include "prj_definition.v" module ALU(OUT, ZERO, OP1, OP2, OPRN); // input list input [`DATA_INDEX_LIMIT:0] OP1; // operand 1 input [`DATA_INDEX_LIMIT:0] OP2; //

    0熱度

    1回答

    我做了一個樣本ALU以及一些測試平臺代碼。但由於某種原因,我的ALU總是返回一個「Z」作爲結果。有人可以幫我嗎? 這裏是ALU: `include "prj_definition.v" module ALU(OUT, ZERO, OP1, OP2, OPRN); // input list input [`DATA_INDEX_LIMIT:0] OP1; // operand 1 inpu

    -4熱度

    1回答

    我不斷收到此消息 - 「#編譯1stfile.vhd失敗,出現0錯誤。」每當我試圖編譯我的文件「1stfile.vhd」時,我該怎麼辦?

    2熱度

    2回答

    是否可以在COMPILE時間使用Modelsim定義generic值? 我需要編譯一個包含generate語句的文件,這個語句根據我的generic布爾值的值關閉&。 我已經失敗嘗試以下編譯語句,其中is_primary是布爾變量名: vcom -work work -is_primary=true file_name.vhd 我已經找到了模擬(vsim)類似的語法,但我不明白的方式來定義一個

    2熱度

    1回答

    我有一個VHDL包,它定義了一個函數(前向聲明)和一個常量。常量的值由該函數計算,該函數的主體位於包體中。 截至目前ModelSim/QuestaSim是唯一不喜歡此代碼的工具。它需要2個包,所以在常量聲明之前解析了正文。 package test is function mytest(param : boolean) return boolean; constant val

    1熱度

    1回答

    我是一名學生,負責構建並測試使用VHDL的完整加法器,以用於將來的作業。它幾天前完美工作,但我今天嘗試再次模擬(在不同的計算機上),現在我的所有輸入和輸出都未定義。我正在使用Modelsim SE-64 10.1c。 全加 library IEEE; use IEEE.STD_LOGIC_1164.all; entity FullAdder is port (A, B, Cin

    0熱度

    2回答

    我有一個調用vsim -c -do test.tcl的批處理文件,完成後我想執行更多的代碼。問題在於,它在命令行中保留在vsim命令中,並且不會返回以執行批處理文件中的下一條指令。 像這樣: VSIM -c待辦事宜test.tcl < ----是否存在被困在這裏 test1的德爾test1的< ---不能執行此

    2熱度

    2回答

    我工作的一所學校的項目,並具有以下觸發器實體: -- define the width-bit flip flop entity entity flopr is generic (width: integer); port (clk, reset: in STD_LOGIC; d: in STD_LOGIC_VECTOR(width-1 downto 0);

    0熱度

    1回答

    爲了實現我的VHDL代碼什麼我目前做: tmpOutput <= "UUUUUUUU"; 的是,被視爲完全出問題了嗎?另外,這可能會在綜合設計時帶來問題嗎? 非常感謝!