modelsim

    0熱度

    3回答

    初始化 我有,我已經寫來推斷到Altera的ALTSYNCRAM塊的一個Altera FPGA中的目標內存模塊。內存是1024x16,我有一個內存初始化文件指定一個屬性。 合成時,合成報告表明它生成了我想要的RAM塊的類型,並注意到初始化文件是我指定的那個。 當試圖用Altera版本的ModelSim進行仿真時,數據信號開始完全未初始化,我找不到原因。 我查看論壇等,有些人提到ModelSim可能

    1熱度

    1回答

    我試圖在ModelSim中模擬Verilog項目(它使用一些LPM模塊),但儘管添加了所需的庫,但我仍然有錯誤提示模塊未定義。 有人知道有什麼問題嗎?

    1熱度

    3回答

    我需要得到幾個信號的值來檢查它們是否與仿真(仿真是在Matlab中)。有很多值,我想讓它們在一個文件中,以便我可以在腳本中運行它,並避免手動複製值。 有沒有辦法將幾個信號的值自動打印到文本文件中? (設計是VHDL實現)

    -2熱度

    2回答

    我設計了一個實體乘法和一個實現此實體的體系結構,但我不知道如何爲此編寫測試臺。換句話說:我如何將價值傳遞給我的架構? 我不確定這段代碼是否正確,但我不能測試它,而不傳遞值。 library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity multiply is port ( in_A : in

    2熱度

    3回答

    Verilog-A與Verilog相同嗎? 是否有Verilog-A的測試編譯器? 因爲當我在ModelSim上貼上一些Verilog-A的源代碼時,總會有一些無法刪除的錯誤。 ModelSim可以運行Verilog-A嗎?

    2熱度

    3回答

    我正在嘗試使用時鐘模塊cb_module在SystemVerilog中建立測試臺。 我在命令行中運行的ModelSim: vsim -c test_bench -do "run -all" 一切工作正常,但我無法弄清楚如何獲得的ModelSim退出,並與一個非零退出代碼返回,如果這樣的斷言失敗: ##1 assert(cb_module.dat_o == 4'h0) else $finish;

    0熱度

    2回答

    我已經用VHDL編寫了一個LFSR。我已經在仿真中進行了測試,它按預期工作(生成1到512之間的隨機整數)。然而,當我把它到硬件它總是產生「000000000」 的代碼如下: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity LFSR is port(clk, reset : in bit; random : out

    0熱度

    1回答

    我想寫一個Tcl腳本,它在ModelSim中加載一個模擬,然後做一些其他的東西,所以它需要確定模擬加載是否成功。但vsim命令似乎沒有返回任何值,至少我可以弄清楚如何捕獲。作爲測試,我做: set rv [vsim $sim_name] $rv總是空的,不管負載SIM卡或沒有,所以使用catch不起作用。我目前的解決方法是嘗試加載後只能在模擬環境下工作,確實返回一個值,然後捕獲該值。例如: v

    0熱度

    2回答

    我寫在的Quartus Verilog的東西,在我看來有些奇怪,但其實很簡單 這段代碼遞增地址正確 module counter( input wire clock, input wire reset, output reg [4:0]address ); initial begin address = 5'b0 end [email prote

    2熱度

    1回答

    我正在使用Modelsim進行大型設計。 我讀過有關modelsim仿真的工作方式。我想知道,有沒有一種方法可以在modelim在模擬階段評​​估一個信號並發現它是一個紅色信號(即'X')來警告我? 知道不可能列出設計的所有信號,並逐個查看它們。 另外,對所有信號進行斷言命令都非常困難。