問題 我正在寫一個函數轉換爲測試臺的一些值的包。我想檢查輸出是否超過最大值,如果是,我想將其設置爲最大值。我累了以下內容: -- vec_in: 0...1023, returns -14...23.5 dB
function conv_dac602_scale (
vec_in : std_logic_vector)
return real is
varia
我試圖創建一個可以容納不同類型的數據的記錄,會在使用VDHL 2008年的通用輸入功能的一些方式可能嗎?我沒有試圖綜合這些代碼。 我的測試設置是這樣的: library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity dynrec is
end en
我必須用VHDL編寫程序,在屏幕上顯示0-9的數字,暫停1秒(基本上時鐘0-9),此外,必須檢查ModelSim,這對我來說更加困難。我知道我不應該要求這樣的幫助,但我只需要它到我的大學。 我是vhdl的初學者,我從來沒有學過它,所以任何線索/解決方案都會很好。 我知道我必須使用某事像這樣: LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee
我在我的程序中遇到了一個令人困惑的問題。我需要在我的程序中端口映射(調用)一個組件。此外,在組件內部,我需要做另一個端口映射(調用),這在VHDL中是非法的。你有這個問題的替代解決方案嗎?這是我的意思的一個例子。 這裏我啓動程序: library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity bina
我爲行爲類型的拉賓米勒算法設計了一個素性測試。我使用函數來創建我的模塊。不幸的是,當我試圖通過我的Altera套件通過Quartus進行綜合時,我意識到這個功能並不合成。在這裏,我將編寫我的整個程序,我真的需要你幫忙給我至少一些提示,將它改爲結構,因爲它是我的高級設計項目。這裏是我的程序: library ieee;
use ieee.std_logic_1164.all;
use ieee.