我正在寫一個IP核,並取決於用戶選擇哪個通用參數並非所有OUT/IN端口都是需要的。是否有可選的端口?我知道類似的東西應該是可能的,因爲當我使用Xilinx IP-Cores時,根據參數不是所有的PORT都包含在內。VHDL中的可選端口?
1
A
回答
4
端口不能是可選的,但可以使用端口,這對於設計人員來說就好像他們不在那裏一樣。
未映射(使用)的輸入端口必須在實體中具有默認值,並且輸出端口可以簡單地保留爲未映射。
如果一個實體被聲明例如像:
entity mdl_sub is
generic(
A_C_USE : boolean := FALSE;
B_D_USE : boolean := FALSE);
port(
clk_i : in std_logic;
rst_i : in std_logic;
a_i : in std_logic := 'X';
b_i : in std_logic := 'X';
c_o : out std_logic;
d_o : out std_logic);
end entity;
然後,模塊可以在不同的配置等的下方,在那裏使用的端口的可隨後有所不同基於配置使用:
-- Using port a_i and c_o
mdl_sub_0 : entity work.mdl_sub
generic map(
A_C_USE => TRUE)
port map(
clk_i => clk_i,
rst_i => rst_i,
a_i => m0_a_i,
c_o => m0_c_o);
-- Using port b_i and d_o
mdl_sub_1 : entity work.mdl_sub
generic map(
B_D_USE => TRUE)
port map(
clk_i => clk_i,
rst_i => rst_i,
b_i => m1_b_i,
d_o => m1_d_o);
所有的信號和端口都是std_logic
。
相關問題
- 1. VHDL/Verilog:訪問HDMI端口
- 2. VHDL RAM端口映射
- 3. VHDL實體端口與組件端口的類型不匹配
- 4. VHDL:從testbanch分配給inout端口
- 5. 端口映射到VHDL地面
- 6. VHDL - 端口映射 - 組件的不同端口映射到不同的實體
- 7. VHDL單口RAM?
- 8. 如何簡化VHDL中端口的泛型使用?
- 9. 結構VHDL代碼中的端口映射
- 10. VHDL - SNES使用FPGA通過控制器端口接口
- 11. VHDL - 與特定端口總線接口上
- 12. VHDL登錄終端
- 13. 在VHDL中創建大型雙端口RAM
- 14. VHDL PS/2接口
- 15. 一個端口可以共享它被映射到VHDL的信號名稱嗎?
- 16. 使用帶端口映射的去抖動設計FSM的VHDL
- 17. VHDL雙端口RAM意想不到的鎖存器產生
- 18. VHDL:信號和端口在其上「箭頭」的側=>
- 19. VHDL測試平臺,真正的端口號
- 20. VHDL - 如何定義組件的實體端口映射?
- 21. Isim Wave窗口中的VHDL編碼
- 22. ps/2鍵盤接口VHDL
- 23. VHDL記錄端口使用Synopsys公司VCSMX
- 24. VHDL:使用輸入端口時創建閂鎖
- 25. 如何從VHDL內部架構寫入兩個輸出端口?
- 26. 將多維systemverilog端口連接到vhdl模塊
- 27. VHDL與選與「和」
- 28. 可比的80端口
- 29. VHDL中的可綜合等待語句
- 30. 端口上的可可監控包
你是什麼意思_不是所有的港口都包括_?他們是否被VHDL生成器工具忽略了? –
我再次看過它,它似乎沒有包含在由IP-Core的Vivado封裝器自動生成的PORT中,但包含在封裝器的組件聲明中。我很好奇,如果我的IP核會發生同樣的情況。 – refDL