我試圖用我的DE0 Nano Altera FPGA開發板設置一個簡單的bruteforce卷積處理器。 這裏是我的代碼如下所示: LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_bit.all;
ENTITY Convolution IS
PORT( clock : IN std_logic;
我在LinuxMint 17.3操作系統下在我的電腦上安裝了Quartus II 64位。我沒有任何問題。但是我不能用ModelSim-Altera進行仿真。我收到消息「ModelSim-Altera沒有找到......」。 There is安裝我已經完成的ModelSim-Altera的詳細說明。但它並沒有幫助我。我還試圖在ModelSim-Altera的路徑末尾設置「/」。現在,我現在不需要做
首先,我很抱歉打擾你們與我的noob問題,但我無法找到任何意義與我的(ModelSim模擬)電路發生了什麼。 這裏是我的代碼,簡單的可以是: LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
ENTITY Counter IS
PORT(
enable : in std