2011-01-10 29 views
1

我應該運行一個非常簡單的VHDL測試平臺。 我的組件都有一個復位信號,使寄存器設置爲0,其他組件正確初始化......但如果我只在第一個時鐘週期重置所有組件中創建一個共同的信號,我怎麼能告訴那個信號在第一個時鐘週期後下降並且再也不會起來了?如何管理VHDL測試臺的復位信號?

我知道這是一個愚蠢的問題,但是,你會怎麼辦????????謝謝。

+1

+1甚至不知道什麼VHDL意味着:-) – DigitalRoss 2011-01-11 17:15:27

回答

5
reset <= '1', '0' after 10 ns; 
+0

我將在幾分鐘後再試... :) – Andry 2011-01-10 20:27:09