fpga

    4熱度

    1回答

    兩個問題真的,但我想,使其更具描述: 我實現這涉及複雜的向量的矩陣乘法調製器: 只給一個例如: cck_encoding_table(1,:)= [ 1j 1 1j -1 1j 1 -1j 1 ]; cck_encoding_table(2,:)= [ -1j -1 -1j 1 1j 1 -1j 1 ]; cck_encoding_table(3,:)= [ -1j 1 -1j -1 -1j

    2熱度

    2回答

    我在理解Simulink(Xilink Library)中定時信號的概念時遇到了一些麻煩。 我會用一個例子解釋, 假設你有一個串行比特流,你想借奇數之和偶數位元, 所以,你可能會寫MATLAB代碼是這樣的: Data_Bits=[1 2 3 0 4 5 1 2 0 9]; Sum_Bits=[]; for i=1:length(Data_Bits)/2 Sum_Bits=[Sum_

    4熱度

    1回答

    這是VHDL新手中最常見的問題,但我沒有看到我是什麼在這裏做錯了!這似乎符合我在正確的狀態機設計中看到的所有成語。我正在Altera Quartus 9.2中進行編譯,以瞭解它的價值。實際的錯誤是: 「無法推斷註冊‘spiclk_out ENTITY spi_state_machine IS PORT( spiclk_internal : IN STD_LOGIC;

    -1熱度

    3回答

    我正在編寫一個使用VHDL將24MHz和12MHz時鐘轉換爲8MHz時鐘的代碼。任何人都可以幫助我在這個編碼?提前致謝。

    0熱度

    1回答

    我在使用Slice塊(Xilinx Bit Slice Extractor)時遇到了一些麻煩。 我需要在二進制輸入點之前提取2位。 因此,如果輸入2的補碼錶示,如果輸入例如說2.25 這將表示爲000 * * 0.01000000。所以我需要在二進制點之前只提取2位。 (在這種情況下爲10) 如果不是Slice Block,是否有任何技術使我在提取所需位時有更大的靈活性。 我有使用Bitbashe

    2熱度

    1回答

    我想在Simulink(賽靈思圖書館)中使用信號的細胞。因此,例如,如果信號值爲1.5,則輸出爲2. 有關如何在Simulink中實現它的任何建議? 此外,我很想了解如何實現樓層,圓形功能以及如何實現。 xilinx庫中的任何塊都可以這麼做嗎? 感謝 基蘭

    2熱度

    1回答

    我正在使用Simulink中的CORDIC ATAN模塊。我正在使用此塊來計算相位差。 這裏是我使用的模型的一部分: 我給輸入a和b爲0,和我期待的Phase_Signal值是零。 但顯然它沒有。我得到Phase_Signal爲1.7277。 請讓我知道,如果我沒有正確配置CORDIC塊。 ATAN塊參數: 感謝 基蘭

    2熱度

    1回答

    我正在建立一個模型,它要求我找到一組8個信號的最大值,並找到最大值的索引。 如何在Simulink(Xilinx庫)中構建這樣的模型? 我猜測比較塊後跟一個計數器塊。但不知何故,我無法將所有的東西放在一起。 感謝它得到它

    1熱度

    1回答

    我正在爲Xilinx Virtex-6 X8 PCI Express Gen 2評估/開發套件SX315T FPGA編寫設備驅動程序。我的操作系統是openSUSE 11.3 64位。 在該設備的文檔(Virtex-6 FPGA集成塊形式PCI Express用戶指南UG517(v5.0)2010年4月19日,第219頁)中說: PIO設計是一個簡單的僅限目標的應用程序,與Endpoint的PCI

    1熱度

    2回答

    我正在嘗試使用VHDL製作數字時鐘,並且我想在VGA屏幕上顯示結果。但我堅持這樣的想法,即如何將整數類型轉換爲BCD?因爲現在我正在將小時,分鐘和秒數據作爲一個整數,我會實現它,以便我可以以最充分的方式在我的VGA組件中使用它。你會對此有何建議? 在此先感謝!