fpga

    3熱度

    1回答

    我對FPGA技術感興趣。我想購買一個來測試少數算法的硬件實現/加速,但我認爲必須有多少次硬件限制才能重新配置一塊板或單個矩陣單元。有這樣的限制嗎?如果是這樣,什麼是平均水平,什麼是最好的供應商提供這樣的產品?

    3熱度

    4回答

    因此我遇到了一些舊代碼,我必須複製,但它不會用新的Xilinx編譯器編譯,所以我需要弄清楚它是什麼確實。我有這樣的事情: if rising_edge(clk) then —do some things if rising_edge(clk) then —do some other things end if end if 是否需要2個時鐘週期才能到

    1熱度

    1回答

    我想將來自DQPSK解調器(類型:UFix2_0)的輸入字轉換爲串行流。 因此,我在Simulink中使用了Xilinx庫的Parallel-to-Serial模塊。 但我不能夠使用塊,我收到以下錯誤: 「的Simulink的系統週期」這個系統生成的令牌設置 不 適合在 使用的速率設計。 的當前設置爲:1的 適當的設置是: 1/2「 我試圖改變設置的System Generator爲好,但它似乎並

    0熱度

    3回答

    這可能看起來是我先前問題的一個重複。但我認爲它不是。 我正在尋找一種將Decimal格式的信號轉換爲二進制格式的技術。 我打算使用Xilinx庫中的Simulink模塊將十進制轉換爲二進制格式。 所以如果輸入是3,預期輸出應該在11(2個時鐘週期)。我正在尋找連續輸出的輸出。 請建議我如何做到這一點或互聯網上的任何指針會有所幫助。 感謝

    2熱度

    3回答

    所以,我正在爲MIPS架構開發一個ALU,並且我正在嘗試左移右移,以便ALU可以移位任意數量的位。 我的想法是將shift值轉換爲整數並選擇結果中的條目(整數存儲在X中),但Quartus不接受變量值常量。 我該怎麼做才能做到這一點? (案件在線「WHEN」1000「=> ...」和「WHEN」1001「=> ...」) 謝謝。 PROCESS (ALU_ctl, Ainput, Binput,

    0熱度

    1回答

    我試圖從simulink中的簡單模型生成網表。我可以運行模擬(使用sysgen)。 當我嘗試創建一個網表,它拋出一個錯誤: " * ERROR * Errors occurred during netlist generation. Error using ==> xlProcBlockElaborateBMM at 19 synopsis file 'C:\<..>\timing\synopsi

    0熱度

    1回答

    我正在使用USRP2和RFX2400子板。我打算將USRP2用於我的項目。 我下載了FPGA(bin)和Firmware鏡像,並通過SD卡刻錄機寫入SD卡。 但我無法使用USRP2。 我將USRP2連接到Ubuntu系統(通過以太網直接連接)。我跑find_usrps並獲得系統錯誤: 「不USRPS找到」 而且,當我運行und_find_devices,我得到的錯誤「沒有找到UHD設備」。 如果我

    6熱度

    1回答

    通過使用cosmiac教程13 http://www.cosmiac.org/tutorial_13.html和ISE 10.1,pdf文件顯示瞭如何生成圖像,並且您可以通過單擊第一個.zip文件來下載該項目。在該項目結束時,它說...現在嘗試以類似的方法流式傳輸一個小視頻。 注意:需要適當修改Matlab文件以獲取像素信息,並使用reader.vhd獲取已使用的視頻規格。還需要獲得僅使用顏色(可

    3熱度

    1回答

    我有一個Atlys板http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,836&Prod=ATLYS,上面有Spartan6 FPGA。 我想通過EXAR的板載USB-UART橋與主機PC建立串口通信。 當在PC上運行Ubuntu時,一切正常picocom終端,但嘗試在Windows機器上設置與Putty終端的通信時出現問題。

    2熱度

    1回答

    我想建立一個模型來比較特定位模式的輸入。例如,如果我必須檢查輸入模式1110,我建立一個帶3個延遲元件的模型,獲取輸入並將其連接起來,然後使用比較器與14(Hex E)進行比較。 目前,我需要對128位進行模式匹配。按照前面的例子,我可以理想地構建使用127個延遲元素和比較塊的類似模型。 但我想知道是否有一個最佳和「更好」的方式來做到這一點。 期待在這方面提出一些建議。 如果你有興趣,這裏是小模型