fpga

    0熱度

    2回答

    我工作的賽靈思Spartan 3E平臺,使用這個開發板提供輸入數據到FPGA。我希望從外部傳輸輸入信號。輸入數據是一個8位信號流。 那麼,我該如何通過USB發送輸入信號從我的筆記本電腦到FPGA?賽靈思是否支持這項功能,或者是否有標準軟件來執行此操作? 謝謝。

    3熱度

    2回答

    我使用Xilinx ISE以VHDL實現了一個16位ALU和一個寄存器文件。我被問到我的設計使用了多少片,我不知道如何回答這個問題。我沒有使用特定的芯片或模擬一個,我只寫了vhdl並通過使用測試臺進行調試。 有沒有辦法讓ISE生成我的設計使用多少片?或者我需要瀏覽所有的代碼並計算我的操作?或者就像定義我使用的組件類型一樣簡單?

    1熱度

    2回答

    我想獲得一個FPGA開發板,目標是模擬CD-ROM驅動器。 我的問題是: 是否有允許在C/C++編寫代碼,而不是VHDL任何FPGA? 如果你不得不推薦一塊電路板,那是哪一塊? 您會推薦購買哪本書? 在繼續之前還有什麼我應該知道的嗎?

    1熱度

    1回答

    我正在使用wlan Receiver並使用USRP2來接收信號。我想知道Receiever的採樣率應該是多少? 我以10MSps捕獲信號。我可以用這個採樣率來捕捉整個頻譜嗎? (wlan的帶寬:22MHz)。 是否有可能是無線局域網(11Mchips /秒) 如果不是的崩裂頻率的整數倍的頻率下工作的USRP2,我需要操作Receiever什麼頻率? 感謝 基蘭

    0熱度

    1回答

    使用處理器TSK3000A的Altium Nanoboard NB3000是否有任何TFTP服務器? 來自Altium的例子給出了一個web服務器,它不使用任何文件系統。 我需要使用以太網將文件從PC傳輸到Altium主板。如果還有其他方法,請讓我來。我之前使用過TFTP,所以我更喜歡這個。

    4熱度

    3回答

    我正在嘗試在verilog中創建一個計數器,計算出有多少個時鐘週期,並且在一千萬個時鐘週期後它將重置並重新開始。 我創建了一個二十四位加法器模塊以及另一個包含二十四個D觸發器的模塊,用於存儲加法器輸出的週期數。 然後我想要一個處於計數狀態的狀態機,直到一千萬個週期過去,然後它進入重置狀態。 這聽起來是正確的?問題是我不知道如何實現狀態機。 任何人都可以指向我的網站/書籍,可以幫助我嗎? 謝謝

    2熱度

    1回答

    我們正在進行硬件設計,正在將觸摸屏輸入並通過I2C傳遞給FPGA。 FPGA將I2C數據轉換爲PCIe。 PCIe將觸摸屏數據傳遞給處理器。我想知道如何讓Windows識別這個PCIe接口並像HID一樣處理它。 (人機接口設備)看起來HID通常是USB。 PCIe可以識別並與Windows一起使用嗎?這需要一個自定義的Windows驅動程序嗎?我已經看到了將I2C轉換爲USB的I2C到USB pS

    1熱度

    2回答

    我試圖將一個2位2對1多路複用器放入一個LUT中。看起來LUT5_D有5個輸入和2個輸出。我可以將LUT編程爲我想要的嗎? 我以前用過LUT3,LUT4。從virtex-5庫指南中,似乎要實例化LUT5_D,我需要編寫一個合適的init值,但只有一個init值進行編程,所以實際上只會使用其中一個輸出值?或者任何時候都會輸出相同的值? LUT5_D #( .INIT(32'h55550f0f),

    0熱度

    1回答

    嗨,大家好,我有以下的VHDL,它不是在硬件上做它想要的,但它在模擬工作。基本上我有一個計數器,並根據計數我想一定要輸出的數據,我實現了多路如下: write_data <= ('1' & '0' & "1111" ) when (data_cnt_r < 1) else ('0' & '0' & "1111" ) when (

    -2熱度

    1回答

    我正在運行Nexys2-1200主板(帶有一個spartan3)。它帶有一個預加載配置,顯示VGA測試模式,工作正常。 我很想知道這個模式的完整VHDL代碼。我無法在Digilent網站上找到它... 有人嗎?