fpga

    0熱度

    1回答

    我該如何轉換需要4個輸入到2個輸入的bloch實體? http://dl.dropbox.com/u/2879760/sample.PNG 一個你在這裏看到我用三個相同的MUX :(如何採取etykieta2只有兩個輸入 代碼: library ieee; use ieee.std_logic_1164.all; library work; --domyslnie zawiet

    0熱度

    1回答

    儘管我喜歡這個論壇爲我的編程相關的問題,我在這裏找到很少或沒有幫助。我正在設計一個接收器的無線局域網,並有很多的疑問,我正在尋找一個論壇,我可以問一個在同一地區工作的人。 我會很高興,如果你能建議我在哪裏我可以發佈我的問題。 感謝

    3熱度

    2回答

    例如,如何充分利用retiming和/或c-slow來充分利用給定的管道。 隨着重定時,一些模塊通過將移位寄存器上的輸入得到更好的結果(前向寄存器平衡),而其他模塊與輸出(向後寄存器平衡)移位寄存器做得更好。 現在我使用下面的方法: 代碼HDL(以Verilog) 創建時序約束爲 特定模塊 合成,地圖,放置&路線(使用 ISE 13.1 ) 看帖子的地方&路由計時 爲模塊改進,並在 的最大數量o

    1熱度

    1回答

    我正嘗試使用Simulink和Xilinx模塊組建立一個DBPSK解調器。我計算這樣的連續採樣的相位差: 所以,現在我需要根據相位差是0還是pi來將這些相位差映射到符號0或1。 % ---------------------------------------- % | Bit input | Phase change (+j*pi) | % -------------------------

    1熱度

    1回答

    我需要在Xilinx Simulink中獲得絕對的信號。 我可以使用一個mcode塊並寫入matlab代碼來實現它。但是,只是好奇有沒有更好的方法。 我對使用Simulink(Xilinx)非常新穎。 Xilinx庫中的Simulink中是否有任何abs塊? 感謝

    1熱度

    1回答

    我正在實現DQPSK調製器和解調器。我想在Simulink中計算exp(1j * Phase)。 我該如何實現這樣的模型? 這裏是模型的一部分來計算階段: 在這個方向上的任何幫助,將高度讚賞。 順便說一句,在該模型ROM3塊存儲的值:0,π/ 2,PI,3 * pi/2之間(星座點) 感謝閱讀, 基蘭用於實現

    2熱度

    1回答

    我正在與Mentor FPGA一起進行帶有moduleware和vhdl的RTL設計。現在我正在看Mentor Graphics Precision RTL綜合GUI。有誰知道如何使用此工具獲得關鍵路徑?

    2熱度

    3回答

    我是FPGA編程的新手,我對整體執行時間方面的性能有疑問。 我已經讀過,延遲是按週期計算的。因此,總體執行時間=延遲*週期時間。 我想優化處理數據所需的時間,我會測量整個執行時間。 假設我有一個計算a = b * c * d。 如果我在兩個週期(result1 = b * c)&(a = result1 * d)中進行計算,總體執行時間將爲2 *週期時間的延遲(這是由乘法運算說價值X)= 2X 如

    1熱度

    2回答

    我知道一些FPGA板可能有以太網端口。但是,如何將FPGA板連接到互聯網以接收和解碼TCP/UDP數據包?基本上,我想通過TCP或UDP從服務器接收一些數據並在板上進行處理。

    0熱度

    3回答

    我想知道爲串行SPI閃存創建PROM文件(.MCS)的正確過程,包括FPGA配置比特流和由Microblaze處理器使用的軟件。假設我的硬件和軟件設計全部完成。 我正在使用Xilinx Spartan-6評估板SP605,它具有多個非易失性存儲設備,我希望使用串行SPI Flash來存儲FPGA比特流以及需要加載的Microblaze軟件進入記憶。只有Microblaze的代碼位於內部BRAM中,