fpga

    2熱度

    1回答

    我需要從PC到FPGA套件(ALTERA DE2-70)讀取小圖像(tif格式)進行處理,然後將其寫回到PC。我不知道如何在Verilog中做到這一點? 它可以在C中完成嗎?如果是這樣,我該如何結合我的C/HDL代碼一起工作? 謝謝!

    4熱度

    1回答

    你知道任何開源的(開核)的OCR任在C或HDL的FPGA實現?我可以在哪裏找到它們? 感謝

    0熱度

    1回答

    我不知道如何正確使用xilinx的divisor ip核心以及我做錯了什麼。 這裏的代碼還原成問題,我做的一切額外的ISE是我加除數核心絲毫 CE - 啓用 商寬度17 除數寬度11 餘 簽名 2每等分 和UCF文件絲毫NET 「CLK_50MHZ」 的定義時鐘 我無法擺脫這種錯誤http://www.xilinx.com/support/answers/13873.htm library IEE

    2熱度

    2回答

    是否可以在任何Xilinx FPGA器件上對沒有EDK的Microblaze進行編程? 我在Linux下開發。 是否有建議的有關tutos /書?是否有穩定的開放源代碼克隆?

    0熱度

    1回答

    有沒有人在這裏用定製hw擴展了LEON3 softcore?我正在尋找一個基本的例子如何添加自定義外設到AMBA AHB總線

    5熱度

    3回答

    我正在嘗試使用XST工具合成的Xilinx Virtex 5 FPGA以VHDL編寫的LUT和切片的一些非常特定的行爲。我不知道我是否可以通過使用工具推斷來達到我的行爲,那麼我該如何明確指示這種情況發生? 我正在討論Virtex5上使用6輸入LUT,其中有4個在CLB中。 我想要明確狀態: - 輸入到每個4個的LUT ONE CLB切片 內 - 指定 '第一' MUXCY(C0) 的INPUT -

    3熱度

    2回答

    我合成了一個小設備來測試塊RAM推理。 我得到一個消息從XST: 小的RAM將會實施了的LUT 以 最大化性能和節省阻止 RAM資源。如果要強制在塊上執行 ,請使用 選項/約束ram_style。 不過,我不知道在哪裏可以找到在ISE(11.1在我的情況),或在約束文件這個選項/約束要麼... 我不想用VHDL直接屬性在我的代碼中。

    2熱度

    3回答

    我對賽靈思ISE模塊ram推理的穩健性有疑問。 我沒有安裝我的機器(今天)上的賽靈思ISE但我通常完全使用專用編碼推斷塊RAM,基本都是依靠: type ram_type is array(2**ADDR_WIDTH-1 downto 0) of std_logic_vector(DATA_WIDTH-1 downto 0); 我的問題是:你能告訴我,如果ISE將推斷正確的塊RAM與 sign

    1熱度

    1回答

    我正在處理一組語言處理例程(用C語言編寫),意在使用MATLAB上的mex命令進行編譯。我有興趣加速使用FPGA的C函數。 硬件通過輸入端口取入指定的輸入參數,所述輸入作爲常量的其餘部分被硬編碼,並且C-函數內經過一個特定的變量的一些,其中,說FOO,到輸出端口。 我有興趣跟蹤foo的計算圖(不確定這是否是正確的用語)。即如何將中間計算變量與中間計算變量相關聯,中間計算變量又最終取決於輸入參數和硬

    0熱度

    1回答

    我正在對fpga(Virtex4)進行圖像處理。我已經在vhdl中編寫了uart程序,它正在處理fpga ...它通過超級終端我正在採集數據...我的問題是我想將我的像素存儲到內存(ddrsdram)中。我將使用EDK爲此.. 我的問題是我不知道如何在我的ISE和EDK項目之間進行接口... 我是EDK新手我無法理解如何繼續使用我的EDK 使用ISE和EDK 11.1版 希望能快速回復...