我正在寫一小段代碼,一次取32位輸入和輸出2位。基於模擬嘗試,我相信我在while循環中遇到了無限循環問題。與我看過的其他循環例子相比,一切看起來都很合適。任何線索我可能做錯了什麼? library ieee;
use ieee.std_logic_1164.all;
entity regA is
port(mpcnd: in std_logic_vector(31 downto 0);
我對Modelsim非常陌生,而且我一直從它那裏得到這個「錯誤」。基本上我用vhdl編碼一個計數器: library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity Contatore16bit is
port (
C