verilog

    0熱度

    1回答

    我已經聲明瞭一個模塊內像這樣的結構: module myModule; struct { logic a; logic b; logic [A - 1:0] c[0:B - 1]; logic [C - 1:0] d; } [D - 1:0] e [0:E - 1][0:F - 1]; endmodule 我想用c像一個解包陣列

    -1熱度

    1回答

    我想在我的幾個不同的選擇模塊的Verilog中創建一個零檢查模塊。 module check_zero (input [63:0] a, b, [1:0] select, output reg [63:0] out); if ((a[51:0] == 0) && (b[51:0] == 0)) begin out <= 0; state <= done; end

    -1熱度

    1回答

    這是我64位乘法器的完整代碼。它在第17行的第17行給出了我有底氣的錯誤(3星)。錯誤是vsim-3053非法輸出或輸出端口'out2'的端口連接錯誤。 module full_multiplier(input [63:0] a, b, input [1:0] select, input clk, output reg [63:0] out); wire [10:0] exp;

    0熱度

    1回答

    我的Verilog代碼有問題。我有2個時鐘 - 一個100kHz,第二個10kHz。只有當10kHz爲高電平時,我纔想用100kHz頻率切換LED。但是我的產量仍然很低。這是我的代碼: module LED_toggle( input clock_100kHz, input clock_10kHz, output reg LED_PIN, ); initi

    0熱度

    1回答

    我正嘗試使用verilog連接Altera FPGA上的兩個引腳。 具體而言,我將inout引腳連接到input引腳。我收到錯誤。 引腳 「<名>」 有多個驅動器 錯誤是這個鏈接解釋。 Altera description 這是解決方案: module multi_driver(inout o, input a, b, en); // Input a directly drives t

    1熱度

    1回答

    我有以下模塊在單獨的文件中。當我嘗試運行我的RC_ADD_SUB_32模塊時,出現'inst'失敗的錯誤Instantiation。地區:/ RC_ADD_SUB_32_TB/obj/rc_gen_loop [0]/FULL_ADDER未找到設計單元。加載設計時出錯。 實例化全加器在生成塊內不起作用。我在rc_add_sub_32.v文件中實例化完整加法器時遇到了問題。有任何想法嗎? full_a

    1熱度

    1回答

    考慮下面的示例代碼的任務引用: interface I(); logic x; modport slave(input x); endinterface interface J(I.slave i); logic y; task process; if (i.x) begin // ... end if

    0熱度

    2回答

    $實時返回Verilog和Systemverilog?

    0熱度

    1回答

    我有一個基本的雙穩態代碼,我編譯它沒有任何錯誤,但是當我點擊運行(f9)後想要添加波形時,我的altera程序不會'採取任何動作...... 這裏是我的代碼: 測試模塊: module test(); reg a; reg ck; reg rst; wire out; bistable bis(.a(a),.ck(ck),.rst(rst),.out(out)); initial b

    0熱度

    1回答

    我試試這個代碼,但是當我編譯它,我收到此錯誤: Illegal assignment pattern. The number of elements (2) doesn't match with the type's width (16) 。 module(output [15:0] O); reg [7:0] a, b; assign O = {a, b}; endmo