verilog

    -1熱度

    3回答

    我想寫的東西是這樣的: [email protected](posedge bus_start) begin @(posedge scl) buffer[7] = sda; @(posedge scl) buffer[6] = sda; @(posedge scl) buffer[5] = sda; @(posedge scl) buffer[4] = s

    1熱度

    1回答

    我是verilog和HDL的新手。 我想實現一個N分頻器, 它計數時鐘滴答(pos和neg),並從輸入clk的第一個上升沿開始計數機制。 另外,clk分頻器必須支持同步rst_n。 我採用Altera的Quartus和下面的代碼 module clk_divider_fsm ( \t in_clk, \t rst_n, \t out_clk ); input

    1熱度

    1回答

    我在批處理模式下運行帶Verilog模式的emacs。通常,它會覆蓋源文件,但我想保留源文件並將輸出寫入不同的文件。我試圖把這個放到makefile流程中,所以我需要單獨的輸入和輸出文件。 我的命令行: emacs --batch xyz.auto.sv -f verilog-diff-auto

    2熱度

    1回答

    Verilog初學者的問題:模塊是否可以發佈靜態/常量屬性,如地址總線片寬或內部寄存器數?它不是一個參數,而是實例化模塊固有的信息。無論是模塊輸出數據。 有點像(不當).addr(地址[amod.AMOD_ADDR_W -1:0])下面 module top_mod #(parameter ADDR_W = 32) (input [ADDR_W-1:0] addr); a

    0熱度

    1回答

    我正在嘗試學習verilog,並且我不明白使用for循環時出了什麼問題。 在我試圖實現的示例模塊中,我將根據輸入設置輸出的第一位,而輸出的其餘位將根據輸入設置,和輸出 - module example_module(A,B,C); input [15:0] A; input [15:0] B; output [15:0] C; reg[15:0] C; integer i; [emai

    1熱度

    3回答

    我試圖找到公式來計算包含M個n位無符號二進制數的總和所需的最大位寬。謝謝!

    0熱度

    1回答

    我試圖用一個初始塊賦值給一個只讀推斷RAM: module rom ( input clk, input [5:0] addr, output reg [15:0] data); reg [15:0] mem [0:63]; initial begin mem[0] = 1; mem[1] = 2; end

    2熱度

    1回答

    我遇到問題,找出從函數返回動態結構數組的語法。我有以下小例子: `timescale 1ns/10ps typedef struct{ string Name; int Age; } PersonType; function PersonType A [] getPeopleInfo(); automatic string Name [50];//Max

    0熱度

    1回答

    我不能用Verilog讀取文本文件,該文件包含以下內容: b05_test.dut.n509 0 我擋在與fgets指令scan_faults = $fgets(file_faults , string , data);,因爲它不起作用,我試圖用fsancf沒有任何積極的結果。 請幫忙嗎? 全碼: integer file_faults; integer scan_faults; wire d

    0熱度

    2回答

    下面的Verilog代碼使用多維寄存器陣列來存儲數據。 parameter DSIZE = 8; parameter ASIZE = 4; input [DSIZE-1:0] wdata; input wclk,wen; reg [ASIZE:0] wptr; parameter MEMDEPTH = 1<<ASIZE; reg [DSIZE-1:0] ex_mem [0:MEM