hdl

    0熱度

    1回答

    不,我需要最短的解決方案,最好使用宏摺疊。

    0熱度

    1回答

    我不太確定爲什麼我的Nand2tetris模擬器不斷告訴我第3行錯誤。 誰能告訴我任何問題,用下面的代碼: CHIP Xor { IN a, b; OUT out; PARTS: Not(in=a, out=nota); Not(in=b, out=notb); And(a=a, b=notb, out=m); And(a=n

    -2熱度

    1回答

    我想對狀態機進行VHDL編程。在這個狀態機中,一個狀態本身就是另一個狀態機。我怎樣才能從主狀態機調用這個狀態機?什麼其實我想要做的 示例如下: 主要的狀態機(sm_main.vhd): - clk_process : process (clk, reset) begin if(reset = '1') then state_reg <= start; elsif (clk'event an

    0熱度

    1回答

    該有限狀態機用作數據路徑的控制器,該數據路徑包含計算兩個4位數的GCD所需的運算符。我對這種語言相當陌生,我意識到這個問題可能是一個缺失的分號,或者可能與我的聲明有關,但我無法弄清楚問題所在。我不斷收到錯誤: 錯誤:HDLCompiler:806 - 「D:/ Xilinx Stuff/GCD/GCD FSM.v」第44行:「if」附近出現語法錯誤。 錯誤:HDLCompiler:806 - 「D

    -2熱度

    1回答

    抱怨Input a<2:0>和Input b<2:0>從不使用。輸出只顯示a[3]和b[3](a = 1001,b = 1100)的拼接。 module stone(a,b,rslt); input [3:0] a,b; output reg [0:1] rslt; integer i; always @(a,b) begin for

    1熱度

    1回答

    我的任務是編碼一個簡單的2至4解碼器,然後顯示可能的結果和波形。 我將gEDA套件與Icarus Verilog(iVerilog)一起用作編譯器,並將GTKWave用於波形。 這是我第一次使用Verilog編寫代碼或使用gEDA套件。從谷歌搜索它看起來我需要遵循此設計流程: 想想你想要實現的設計。在我的情況下,解碼器 以VHDL/Verilog實現設計。 以VHDL/Verilog實現測試平臺。

    0熱度

    2回答

    這是來自Cavanagh的Verilog HDL:數字設計和建模。 //clock generation using initial and always statements module clk_gen2 (clk); output clk; reg clk; //initialize clock to 0 initial clk = 1'b0; //toggle

    0熱度

    1回答

    我正在做這個項目,將輸出所需的頻率。對於大多數頻率我可以做出有效的代碼,但是當涉及頻率像300赫茲我有麻煩。 因此,這裏是我的代碼大部分: library ieee; use ieee.std_logic_1164.all; entity test is port( clk:in std_logic:='0'; clk_o:buffer std_logic:='0'

    1熱度

    1回答

    我目前有一個fpga上的按鈕去抖動器的代碼(下面),但是我收到一個錯誤,指出「在一個始終/初始化過程塊中的多個事件控制語句在此不受支持案件。」每當我嘗試綜合設計。導致問題的線是@(posedge clk),但我想知道如何替換這個邏輯。我基本上需要的是always @ (quarter & posedge clk)作爲第一個始終阻擋的靈敏度列表,但這也不起作用。我是相當新的語言,所以我還在工作了代碼

    -2熱度

    1回答

    我試圖運行我利用我的櫃檯來輸入3-7譯碼器,所有的個體代碼運行良好,但結構性的代碼是給了一些錯誤運行3至7解碼器 這是我的櫃檯節目 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity counter is port(clk , CLR : in std_logic