我想對狀態機進行VHDL編程。在這個狀態機中,一個狀態本身就是另一個狀態機。我怎樣才能從主狀態機調用這個狀態機?什麼其實我想要做的 示例如下: 主要的狀態機(sm_main.vhd): - clk_process : process (clk, reset)
begin
if(reset = '1') then
state_reg <= start;
elsif (clk'event an
我正在做這個項目,將輸出所需的頻率。對於大多數頻率我可以做出有效的代碼,但是當涉及頻率像300赫茲我有麻煩。 因此,這裏是我的代碼大部分: library ieee;
use ieee.std_logic_1164.all;
entity test is
port(
clk:in std_logic:='0';
clk_o:buffer std_logic:='0'
我試圖運行我利用我的櫃檯來輸入3-7譯碼器,所有的個體代碼運行良好,但結構性的代碼是給了一些錯誤運行3至7解碼器 這是我的櫃檯節目 library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity counter is
port(clk , CLR : in std_logic