我有一個VHDL測試文件a.vhd。 貓a.vhd package pak is
component b is -- 1st definition of component b.
end component
end pak;
use work.pak.all; -- 1st definition visible through this package use clause
我目前使用的是modelsim SE 5.8e。它不支持SystemVerilog。我需要使用SystemVerilog來設計和驗證我的項目。任何想法哪個版本的Modelsim都支持sytemverilog的設計和驗證子集?我之前使用過VCS,並試圖找到它,如果我可以使用Modelsim而不是VCS進行仿真。 在此先感謝!
我在unsigned執行邏輯運算已經一個問題: library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
with sel select
s_1<=a+b when "000",
a+((not b)+1) when "001",
s_2 when "010",
s_3 when "011",
我正在請求一些幫助,因爲我完全停留在我的VHDL項目中,包括在Nios II上實現極地轉換器的笛卡兒到極座標轉換器。我所有的VHD文件執行編譯沒有錯誤,但是當我想以模擬的ModelSim整個街區,這裏是我得到 # Loading work.counter(a)
# ** Failure: (vsim-3807) Types do not match between component and e