我來自Verilog-95背景,我試圖弄清楚什麼Verilog-95箍我不必跳過了。 寫與異步設置觸發器和用Verilog-95復位的顯而易見的方法是: always @(posedge clk or negedge resetb or negedge setb) begin
if (!resetb) q <= 0;
else if (!setb) q <= 1;
我是VHDL編程的新手。該項目考慮檢測存儲器陣列中的故障。我已經獲得了錯誤的數據和地址。現在我想獲取存儲器陣列中找到的特定地址的相應行或列號。用VHDL實現這個代碼將不勝感激!這裏是我的創造SRAM和執行讀取和寫入操作簡單的代碼: library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
enti