hdl

    3熱度

    5回答

    我來自Verilog-95背景,我試圖弄清楚什麼Verilog-95箍我不必跳過了。 寫與異步設置觸發器和用Verilog-95復位的顯而易見的方法是: always @(posedge clk or negedge resetb or negedge setb) begin if (!resetb) q <= 0; else if (!setb) q <= 1;

    0熱度

    3回答

    我在Verilog中綜合了一些乘法單元,我想知道如果在多層實現時使用展位編碼來實現自己的CSA,或者如果您只是使用*符號併合成,則在面積/功耗節省方面通常會獲得更好的結果工具爲你解決問題嗎? 謝謝!

    0熱度

    1回答

    我是VHDL編程的新手。該項目考慮檢測存儲器陣列中的故障。我已經獲得了錯誤的數據和地址。現在我想獲取存儲器陣列中找到的特定地址的相應行或列號。用VHDL實現這個代碼將不勝感激!這裏是我的創造SRAM和執行讀取和寫入操作簡單的代碼: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; enti

    2熱度

    1回答

    我是一個初學者,通過xilinx編寫verilog。 我已經學會端口聲明必須像下面 module mealy( nReset, clk, in, out ); input nReset; input clk; input in; output out; endmodule 當我使用賽靈思,它的默認選項來設置變量

    0熱度

    1回答

    我想使用4至2優​​先級編碼器創建16to4位優先級編碼器? 我使用verilog代碼,我使用了6個4到2編碼器。在第一次我使用了4個編碼器之後,我把他們的輸出作爲其他兩個編碼器的輸入;但它根本無法正常工作!

    -1熱度

    1回答

    我想明白了一塊如下Verilog代碼中參數: module_name instance_name ( ..... .signal1(signal1_local['SIGNAL_WIDTH - 1 : 0]), .... ); 我無法理解‘的使用SIGNAL_WIDTH,爲什麼這裏使用的單引號(’) ?任何人都可以請告訴它是什麼意思? 在此先感謝

    1熱度

    1回答

    當且僅當在該週期調用接口方法/任務時,是否可以合成在週期上聲明的啓用信號?需要類似以下內容: interface RAM (logic clk); logic enable; // ... always_ff @(posedge clk) enable = 0; task Read(input address_t address); enabl

    0熱度

    1回答

    我是Verilog HDL的新手,我的第一個項目是使用一組寄存器實現一個簡單的秒錶計數器。我正在使用Altera Quartus。 當我嘗試編譯下面的代碼時,我不斷收到每個和每個寄存器的錯誤。錯誤消息之一是這樣的: 錯誤(10028):無法解析淨 「SEC0 [3]」 在test_interface.v(127) 任何人都可以幫助多個恆定的驅動程序?代碼在Modelsim中模擬得很好。 這裏的代碼

    -3熱度

    1回答

    是否有任何工具會生成通過特定測試平臺的verilog RTL代碼?即從測試臺轉到RTL 有什麼辦法可以做到這一點。

    -1熱度

    1回答

    是否可以在Verilog中創建可合成的3D參數? 我想要做類似該C代碼風格的東西: parameter [8][5]test [5] = { { {0, 1, 2, 3, 4}, {5, 6, 7, 8, 9}, {10, 11, 12, 13, 14}, {15, 16, 17, 18, 19}, {20, 21, 22, 23, 24} },{ {4, 3, 2, 1, 0},